74VHC373MTR PDF DATASHEET

Електронски делови : 74VHC373MTR

Производјач : STMicroelectronics

Паковање :

Игле :

Опис : OCTAL D-TYPE LATCH WITH 3 STATE OUTPUTS NON INVERTING

Температура : Најнижа °C | Највиша °C

Datasheet :

ППППНННН наличити: